Наверняка вы уже видели индикаторы - "восьмёрки". Это и есть семисегментный светодиодный индикатор, который служит для отображения цифр от 0 до 9, а также децимальной точки (DP - Decimal point) или запятой.

Конструктивно такое изделие представляет собой сборку светодиодов. Каждый светодиод сборки засвечивает свой знакосегмент.

В зависимости от модели сборка может состоять из 1 - 4 семисегментных групп. Например, индикатор АЛС333Б1 состоит из одной семисегментной группы, которая способна отображать всего лишь одну цифру от 0 до 9.

А вот светодиодный индикатор KEM-5162AS уже имеет две семисегментных группы. Он является двухразрядным. Далее на фото показаны разные светодиодные семисегментные индикаторы.

Также существуют индикаторы с 4-мя семисегментными группами - четырёхразрядные (на фото - FYQ-5641BSR-11). Их можно использовать в самодельных электронных часах.

Как обозначаются семисегментные индикаторы на схемах?

Так как семисегментный индикатор - это комбинированный электронный прибор, то изображение его на схемах мало отличается от его внешнего вида.

Стоит только обратить внимание на то, что каждому выводу соответствует конкретный знакосегмент, к которому он подключен. Также имеется один или несколько выводов общего катода или анода - в зависимости от модели прибора.

Особенности семисегментных индикаторов.

Несмотря на кажущуюся простоту этой детали и у неё есть особенности.

Во-первых, светодиодные семисегментные индикаторы бывают с общим анодом и с общим катодом. Данную особенность следует учитывать при его покупке для самодельной конструкции или прибора.

Вот, например, цоколёвка уже знакомого нам 4-ёх разрядного индикатора FYQ-5641BSR-11 .

Как видим, аноды у светодиодов каждой цифры объединены и выведены на отдельный вывод. Катоды же у светодиодов, которые принадлежат к знакосегменту (например, G ), соединены вместе. От того, какую схему соединений имеет индикатор (с общим анодом или катодом) зависит очень многое. Если взглянуть на принципиальные схемы приборов с применением семисегментных индикаторов, то станет ясно, почему это так важно.

Кроме небольших индикаторов есть большие и даже очень большие. Их можно увидеть в общественных местах, обычно в виде настенных часов, термометров, информеров.

Чтобы увеличить размеры цифр на табло и одновременно сохранить достаточную яркость каждого сегмента, используется несколько светодиодов, включенных последовательно. Вот пример такого индикатора - он умещается на ладони. Это FYS-23011-BUB-21 .

Один его сегмент состоит из 4 светодиодов, включенных последовательно.

Чтобы засветить один из сегментов (A, B, C, D, E, F или G), нужно подать на него напряжение в 11,2 вольта (2,8V на каждый светодиод). Можно и меньше, например, 10V, но яркость тоже уменьшится. Исключение составляет децимальная точка (DP), её сегмент состоит из двух светодиодов. Для неё нужно всего 5 - 5,6 вольт.

Также в природе встречаются двухцветные индикаторы. В них встраиваются, например, красные и зелёные светодиоды. Получается, что в корпус встроено как бы два индикатора, но со светодиодами разного цвета свечения. Если подать напряжение на обе цепи светодиодов, то можно получить жёлтый цвет свечения сегментов. Вот схема соединений одного из таких двухцветных индикаторов (SBA-15-11EGWA).

Если коммутировать выводы 1 (RED ) и 5 (GREEN ) на "+" питания через ключевые транзисторы, то можно менять цвет свечения отображаемых чисел с красного на зелёный. А если же одновременно подключить выводы 1 и 5, то цвет cвечения будет оранжевым. Вот так можно баловаться с индикаторами .

Управление семисегментными индикаторами.

Для управления семисегментными индикаторами в цифровых устройствах используют регистры сдвига и дешифраторы. Например, широко распространённый дешифратор для управления индикаторами серии АЛС333 и АЛС324 - микросхема К514ИД2 или К176ИД2 . Вот пример .

А для управления современными импортными индикаторами обычно используются регистры сдвига 74HC595 . По идее, управлять сегментами табло можно и напрямую с выходов микроконтроллера. Но такую схему используют редко, так как для этого требуется задействовать довольно много выводов самого микроконтроллера. Поэтому для этой цели применяются регистры сдвига. Кроме этого, ток, потребляемый светодиодами знакосегмента, может быть больше, чем ток, который может обеспечить рядовой выход микроконтроллера.

Для управления большими семисегментными индикаторами, такими как, FYS-23011-BUB-21 применяются специализированные драйверы, например, микросхема MBI5026 .

Что внутри семисегментного индикатора?

Ну и немного вкусненького. Любой электронщик не был бы таковым, если бы не интересовался "внутренностями" радиодеталей. Вот что внутри индикатора АЛС324Б1.

Чёрные квадратики на основании - это кристаллы светодиодов. Тут же можно разглядеть золотые перемычки, которые соединяют кристалл с одним из выводов. К сожалению, этот индикатор уже работать не будет, так как были оборваны как раз эти самые перемычки . Но зато мы можем посмотреть, что скрывается за декоративной панелькой табло.

Этот тип дешифраторов тоже предназначен для вывода двоичного кода в привычном для нас виде, но для этого он использует специальные индикаторы, цифры которых набираются из сегментов:

А теперь взглянем на схему такого дешифратора на примере микросхемы К176ИД2:

Как и любой другой дешифратор, микросхема имеет входы для получения двоичного кода (1, 2, 4, 8) и 7 выходов, на которых формируется код в соответствии с расположением сегментов на индикаторе:

Если, к примеру, подать на вход код 0110, то микросхема установит высокие уровни на выводах А, F, E, D, C, G и в результате мы увидим цифру 6 (двоичный эквивалент ее как раз 0110). Как и простые двоично-десятичные дешифраторы, семисегментные индикаторы бывают разных типов – все зависит от того, для работы с какими типами индикаторов они рассчитаны.

Если индикаторы светодиодные, то дешифратор должен иметь хорошую нагрузочную способность, чтобы выдержать ток светодиода сегмента (К555ИД18), если жидкокристаллические, то выходной ток может быть маленьким, но дешифратор должен уметь выдавать на индикатор противофазный сигнал (К564ИД4). Люминесцентные индикаторы не требуют большого тока и обходятся «постоянкой», но им подавай относительно высокое напряжение (К176ИД2).

Для удобства конструирования всевозможных цифровых шкал (к примеру, часов или частотомеров) дешифраторы могут объединять со счетчиками. Классический пример – К176ИЕ3 и К176ИЕ4:

Достаточно на вход С такого счетчика-дешифратора начать подавать импульсы, как он начнет считать и выводить результат счета на семисегментный индикатор: 0, 1, 2, 3 и т.д. Подали импульс на вход R (сброс) и на индикаторе «0» — счетчик «сбросился». Что примечательно, ИЕ4 умеет считать до 9 (потом снова начинает с нуля), а ИЕ3 – до 6. Идеально для подсчета десятков минут или секунд в электронных часах. Возвращаясь немного назад (точнее, в

3.5 Семи сегментный дешифратор

Для отображения десятичных и шестнадцатеричных цифр часто используется семи сегментный индикатор. Его изображение и название сегментов приведено на рис. 3.1. Сегменты представляют собой светоизлучающие элементы, например, светодиоды.

Рисунок 3.1 Семи сегментный

индикатор, (а). Изображение и название его сегментов, (б)

Для отображения на индикаторе цифры 0 достаточно зажечь сегменты a , b , c , d , e , f . Для получения цифры 1 – сегменты b и c . Точно таким же образом можно получить изображения всех остальных десятичных или шестнадцатеричных цифр. Комбинации таких изображений получили название семи сегментного кода.

Для управления работой индикатора используются дешифраторы, которые преобразуют двоичный код в семи сегментный (рис. 3.2). В таблице истинности семи сегментного дешифратора (табл. 3.1) включение сегментов предполагает наличие уровня логической единицы.

Таблица истинности семи сегментного дешифратора Таблица 3.1

A 3

A 2

A 1

A 0

a

b

c

d

e

f

g


Например, на выходе c дешифратора логический ноль появится только при подаче на вход комбинации двоичных сигналов 0010 2 = 2 10 . В качестве примера семи сегментного дешифратора можно назвать микросхему К176ИД3.

В современных цифровых схемах семи сегментные дешифраторы обычно входят в состав больших интегральных схем.

Рис. 3.2 Условно-графическое обозначение

семи сегментного дешифратора DC (4-7)

Матричный индикатор

Матричный индикатор - матрица размерностью 5 ´ 7 = 35 ячеек (табл.3.2). С помощью матричного индикатора и дешифратора можно любому символу (букве, знаку препинания, цифре и т.д.) поставить в соответствие двоичный код. Внешний вид матричного индикатора представлен на рис. 3.3.


Таблица кодов Таблица 3.2

Рис. 3.3 Внешний вид матричных индикаторов, (а, б)

и таблица кодов ячеек индикатора, (в).

Пример. На матричном индикаторе отобразить букву «Р».

Для этого на соответствующие сегменты (табл. 3.3) нужно подать с дешифратора сигналы логической единицы.

Каждому символу, который может отображаться индикатором, поставлены в соответствие наборы из 35 признаков. Их номера для буквы «Р» приведены в табл. 3.3.

Если признак соответствует данной букве, то в ячейке ставится «1» и т.д. до заполнения всей таблицы.

Таблица признаков Таблица 3.3

Индикаторы для диспетчерских щитов

На рис. 3.4…3.8 изображены индикаторы рабочих мест диспетчеров.

Рис. 3.4 Матричные индикаторы

Рис. 3.5 Диспетчерский щит и рабочее место диспетчера энергетической системы

Рис. 3.6 Фрагмент мнемосхемы энергетической системы

Рис. 3.7 Фрагмент мнемосхемы энергетической системы

Рис. 3.8 Элемент мнемосхемы

Дешифраторы, как и шифраторы преобразуют один код на своем входе в другой код, который и подают на выход. Одним из частных случаев использование дешифратора является его совместная работа с семисегментным индикатором. Обычно дешифратор преобразует двоичное число в сигнал на одном из своих выходов, но для этого конкретного случая используются специальные дешифраторы, которые преобразуют двоичный код на своем входе в код семисегментного индикатора на выходе. Работу данного типа приборов рассмотрим на примере микросхемы К514ИД2 .

Данная микросхема имеет четыре входа D1-D4, и семь выходов: a, b, c, d, e, f, g, для подключения к соответствующим сегментам семисегментного индикатора. Вывод R - разрешение работы, для того, что бы дешифратор реагировал на сигналы на своих входах, на выводе R должен быть высокий логический уровень.

Следует особо отметить, что питание подается на 14 выход микросхемы К514ИД2, общий провод 6. Питание осуществляется от стабилизированного источника питания напряжением 5В.

Счетные импульсы будем подавать с мультивибратора , счет их будет осуществляться счетчиком с недвоичным коэффициентом пересчета , к выводам которого подключен дешифратор семисегментного индикатора.

Данная электрическая принципиальная схема получается достаточно сложной, поэтому, даже будучи правильно собранной, она иногда отказывается правильно работать из-за обилия штыревых непаянных соединений. Как говориться, электроника это наука о контактах. Очень многие проблемы в электротехнике и электронике сводятся к тому, что контакт есть там, где не надо или контакта нет там, где надо.

Опыт показал, что применение в лабораторных работах выпускаемых промышленностью семисегментных индикаторов неоправданно из-за того, что такие индикаторы обладают недостаточной «студентоустойчивостью», при неправильном подключении они быстро выходят из строя. Поэтому были разработаны модули, имитирующие работу семисегментных индикаторов на базе светодиодов АЛ307Б . По этой причине цифры на индикаторе выглядят несколько непривычно, но общий принцип работы семисегментного индикатора уяснить вполне можно.

Видео

Литература

  1. https://kiloom.ru/spravochnik-radiodetalej/microsxema/k514id2-kr514id2.html
  2. http://ru.pc-history.com/mikrosxema-k514id2.html
  3. https://eandc.ru/pdf/mikroskhema/k514id2.pdf
  4. Ямпольский В.С. Основы автоматики и электронно-вычислительной техники - М. Просвещение, 1991
  5. http://сайт/publ/nachinajushhim/multivibrator_na_ehlementakh_i_ne/5-1-0-1366
  6. http://сайт/publ/nachinajushhim/schetchik_na_mikroskheme/5-1-0-1372
  7. http://сайт/publ/nachinajushhim/samodelnye_moduli_dlja_izuchenija_mikroskhem/5-1-0-1352

Декодеры позволяют преобразовывать одни виды двоичных кодов в другие. Например, преобразовывать двоичный код в линейный восьмеричный или шестнадцатеричный. Преобразование производится по правилам, описанным в таблицах истинности, поэтому построение не представляет трудностей. Для построения дешифратора можно воспользоваться правилами .

Десятичный декодер

Рассмотрим пример разработки декодера двоичного кода в десятичный. Десятичный код обычно отображается одним битом на одну десятичную цифру. Это классический пример, иллюстрирующий, что нулями и единицами описываются не только двоичные коды. В десятичном коде десять цифр, поэтому для отображения одного десятичного разряда требуется десять выходов дешифратора. Около каждого разряда десятичного кода может быть подписана десятичная цифра, которую представляет логическая единица в этом разряде. Сигнал с этих выводов дешифратора можно подать на . В простейшем случае над светодиодом можно просто подписать индицируемую цифру. В более сложных вариантах индикатор можно выполнить в виде десятичной цифры.

На входе дешифратора двоичный код записывается в соответствии с правилами . Таблица истинности десятичного декодера приведена в таблице 1.

Таблица 1. Таблица истинности десятичного декодера.
Входы Выходы
8 4 2 1 0 1 2 3 4 5 6 7 8 9
0 0 0 0 1 0 0 0 0 0 0 0 0 0
0 0 0 1 0 1 0 0 0 0 0 0 0 0
0 0 1 0 0 0 1 0 0 0 0 0 0 0
0 0 1 1 0 0 0 1 0 0 0 0 0 0
0 1 0 0 0 0 0 0 1 0 0 0 0 0
0 1 0 1 0 0 0 0 0 1 0 0 0 0
0 1 1 0 0 0 0 0 0 0 1 0 0 0
0 1 1 1 0 0 0 0 0 0 0 1 0 0
1 0 0 0 0 0 0 0 0 0 0 0 1 0
1 0 0 1 0 0 0 0 0 0 0 0 0 1

В соответствии с принципами построения схемы по произвольной таблице истинности получим схему декодера, реализующего таблицу истинности, приведённую в таблице 1. Его схема приведена на рисунке 1.


Рисунок 1. Принципиальная схема двоично-десятичного декодера

Как видно на этой схеме, для реализации каждой строки таблицы истинности (минтерма) потребовался логический элемент "4И". Логический элемент "ИЛИ", необходимый для реализации СДНФ, не потребовался, так как в таблице истинности на каждом выходе (столбце) присутствует только одна логическая единица.

Условно-графическое обозначение микросхемы дешифратора на приведено на рисунке 2. На этом рисунке приведено обозначение двоично-десятичного декодера, полная внутренняя принципиальная схема которого изображена на рисунке 1.


Рисунок 2. десятичного декодера

Точно таким же образом можно получить принципиальную схему и для любого другого декодера. Наиболее распространены схемы восьмеричных и шестнадцатеричных декодеров. Для применения в схемах индикации в настоящее время они практически не используются. В основном такие, или более сложные (с большим количеством выходов) декодены используются как составная часть более сложных цифровых модулей.

Семисегментный декодер

Для отображения десятичных и шестнадцатеричных цифр часто используется . Внешний вид семисегментного индикатора и название его сегментов приведено на рисунке 3.


Рисунок 3. Внешний вид семисегментного индикатора и название его сегментов

Для отображения на таком индикаторе цифры 0 достаточно зажечь сегменты a, b, c, d, e, f. Для отображения цифры "1" зажигают сегменты b и c. Точно таким же образом можно получить изображения всех остальных десятичных или шестнадцатеричных цифр. Все комбинации двоичных бит, необходимых для получения их изображений получили название семисегментного кода.

Составим таблицу истинности дешифратора, который позволит преобразовывать двоичный код в семисегментный. Пусть сегменты зажигаются нулевым потенциалом. Тогда таблица истинности семисегментного дешифратора примет вид, приведенный в таблице 2. Конкретное значение сигналов на выходе дешифратора зависит от схемы подключения сегментов индикатора к выходу микросхемы. Эти схемы мы рассмотрим позднее, в главе, посвящённой отображению различных видов информации.

Таблица 2. Таблица истинности семисегментного декодера.

Входы Выходы
8 4 2 1 a b c d e f g
0 0 0 0 0 0 0 0 0 0 1
0 0 0 1 1 0 0 1 1 1 1
0 0 1 0 0 0 1 0 0 1 0
0 0 1 1 0 0 0 0 1 1 0
0 1 0 0 1 0 0 1 1 0 0
0 1 0 1 0 1 0 0 1 0 0
0 1 1 0 0 1 0 0 0 0 0
0 1 1 1 0 0 0 1 1 1 1
1 0 0 0 0 0 0 0 0 0 0
1 0 0 1 0 0 0 0 1 0 0

В соответствии с принципами построения схемы по произвольной таблицы истинности, получим принципиальную схему семисегментного декодера, реализующего таблицу истинности, приведённую в таблице 2. На этот раз не будем подробно расписывать процесс разработки схемы. Полученная принципиальная схема семисегментного декодера приведена на рисунке 4.


Рисунок 4. Принципиальная схема семисегментного декодера

Для облегчения понимания принципов работы схемы на выходе логических элементов "И" показаны номера строк таблицы истинности, реализуемые ими.

Например, на выходе сегмента "a" логическая единица появится только при подаче на вход комбинации двоичных сигналов 0001 (1) и 0100 (4). Это осуществляется объединением соответствующий цепей элементом "2ИЛИ". На выходе сегмента "b" логическая единица появится только при подаче на вход комбинации двоичных сигналов 0101 (5) и 0110 (6), и так далее.

В настоящее время семисегментные дешифраторы выпускаются в виде отдельных микросхем или используются в виде готовых блоков составе других микросхем. Условно-графическое обозначение микросхемы семисегментного дешифратора приведено на рисунке 5.


Рисунок 5. Условно-графическое обозначение семисегментного декодера

В качестве примера промышленного производства семисегментных декодеров можно назвать такие микросхемы отечественного производства как К176ИД3. В современных цифровых схемах семисегментные дешифраторы обычно входят в состав больших интегральных схем (ПЛИС или FPGA) или реализуются программно.

Литература:

Вместе со статьей "Дешифраторы (декодеры)" читают:

Законы алгебры логики позволяют преобразовывать логические функции. Логические функции преобразуются с целью их упрощения, а это ведет к упрощению цифровой схемы...
http://сайт/digital/AlgLog.php

Для реализации цифровых логических схем с произвольной таблицей истинности используется сочетание простейших логических элементов. Существует два способа синтеза цифровых схем, реализующих произвольную таблицу истинности...
http://сайт/digital/SintSxem.php

Достаточно часто перед разработчиками цифровой аппаратуры встаёт обратная задача. Требуется преобразовать восьмиричный или десятичный линейный код в...
http://сайт/digital/Coder.php

Мультиплексорами называются устройства, которые позволяют подключать несколько входов к одному выходу...
http://сайт/digital/MS.php

Демультиплексорами называются устройства... Существенным отличием от мультиплексора является...
http://сайт/digital/DMS.php